外部接続コネクタの専用クロック信号

CX-Card4の外部接続用コネクタには、FPGAのPLLブロックに入力できる専用クロックピンと出力用の専用クロックピンがあります。

SX-Card4の外部接続コネクタ CN1とCN2には、それぞれ、FPGAのPLL3とPLL4ブロックに入力および出力できるクロック専用ピンを収容しています。

下図、赤のラインはFPGA内に20本あるグローバルクロックラインを消費せずにPLL入出力ができます。しかし、図示した以外のクロックピンから、PLL3やPLL4にクロックを入力することもできます。この場合、クロックピンからPLLまではグローバルラインを使用します。

PLL出力はC0ポートのみグローバルクロックラインを使わずに、直接IOピンに内部配線できます。

CX-Card4搭載のFPGA: PLL3とPLL4のピン入出力関係

EXT1_CLKOUT信号は、FPGAピン#T16ピンにアサインし、コネクタCN1の118ピンに接続しています。
実際のボード上では、FPGAピン#T16 =ダンピング抵抗13Ω====CN1.118 という配線になっています。
CN1.118本来はクロック専用ピンですが、ダンピング抵抗13Ω(Rd10)を取り外し、0Ωに交換またはハンダブリッジすることで、入力ピンとすることもできます。その際、FPGApin#T16も入力ピン設定にしてください。

EXT2_CLKOUTも同様です。FPGAピンは#E5、ダンピング抵抗はRd11です。


Smart-USB Plus / Sigma 技術サポート
https://www.smartusb.info/article.php/ext_clock_pin